Verilog实现“多数”功能

时间:2016-10-14 20:03:14

标签: function verilog xilinx

我想我不太了解这个多数函数来包围它...我只是不知道从哪里开始。我不是职业Verilog但是哈哈尔

enter image description here

它被定义为x,y和z的函数,所以我认为函数将是三个二进制输入位的函数。除此之外,我迷路了。

任何帮助/方向赞赏。

1 个答案:

答案 0 :(得分:1)

在布尔术语中,如果超过一半的输入为真,则多数门是真的。 https://en.wikipedia.org/wiki/Majority_function

如果您考虑一下,那么简单的术语比3输入示例相当简单。只有3种情况会导致真实。

(X和Y)或(Y和Z)或(X和Z)

您可能希望将其扩展到任意数量的输入,这就是等式的含义。我的verilog相当生疏,所以我不记得了,但我认为你可以用二进制输入做那种数学运算,如果你愿意的话。